Company Announcements

ASMI SIGNS AGREEMENT WITH THE UNIVERSITY OF HELSINKI FOR ALD CENTER OF EXCELLENCE

Source: GlobeNewswire
ASMI SIGNS AGREEMENT WITH THE UNIVERSITY OF HELSINKI FOR ALD CENTER OF EXCELLENCE


Almere, The Netherlands
February 11, 2022

ASM International N.V. (Euronext Amsterdam: ASM) signed an agreement with the University of Helsinki to form and fund the Atomic Layer Deposition Center of Excellence (ALD CoE) at the universitys Kumpula science campus.

The five-year partnership is a significant boost to ASMI’s nearly two-decades-long collaboration with the university. As part of the agreement, ASMI will increase its current funding to the university. It will also expand the team at ASM Microchemistry Oy. ASMI’s subsidiary, which has pioneered atomic layer deposition (ALD) technology since its founding in 1987, is co-located with the University of Helsinki at Kumpula.

ALD is an advanced technology for depositing thin-film materials conformally and with precise control over thickness and composition. ALD and other atomic layer processes play an increasingly critical role in semiconductor technologies as Moore’s Law scaling drives semiconductor devices into the atomic scale Ångström (1 Ångström = 1/10 nanometer) regime, and complex 3D vertical structures. The HelsinkiALD group at the university has won global recognition for ALD chemistry innovations, and its high-quality research – in collaboration with ASMI – has translated into a number of breakthroughs in semiconductor applications.

The ALD CoE will build on this success, focusing on research around ALD that is necessary for future semiconductor technologies. Teams will develop and adapt novel research methods for the study of mechanistic details of atomic layer processes. They will use the recently installed ALD ultra-high vacuum surface analytical techniques cluster in the university’s chemistry department and the accelerator laboratories in the physics department. The ultimate aim is the design of new precursors, processes and materials that will have great scientific and technical impact.

Benjamin Loh, CEO of ASM International, says: “The ALD Center of Excellence agreement significantly expands our nearly 20-year-long successful collaboration with the University of Helsinki. We’re very excited about this partnership aimed at breakthroughs around ALD that will enable future semiconductor technologies.”

He continues: “The newly formed ALD CoE will further build out the strength of Europe in ALD technology as an essential part of the European semiconductor infrastructure, so helping to realize the strategic objectives of the European Chips Act.”

Paula Eerola, Vice-Rector at the University of Helsinki, says: “The collaboration with ASM, which is exceptional in terms of timespan and scope, is highly valuable for the University of Helsinki.”

Professor Mikko Ritala, who leads the university’s HelsinkiALD group, adds: ”Our research group greatly appreciates the collaboration with ASMI, which takes us to the area where boundaries between basic and applied research disappear. The research questions we are addressing are fundamental by their nature, yet our results may find practical use in the future semiconductor devices.”


About ASM International

ASM International NV, headquartered in Almere, the Netherlands, its subsidiaries and participations design and manufacture equipment and materials used to produce semiconductor devices. ASM International, its subsidiaries and participations provide production solutions for wafer processing (Front-end segment) as well as for assembly & packaging and surface mount technology (Back-end segment) through facilities in the United States, Europe, Japan and Asia. ASM International's common stock trades on the Euronext Amsterdam Stock Exchange (symbol ASM). For more information, visit ASMI's website at www.asm.com.

Cautionary Note Regarding Forward-Looking Statements: All matters discussed in this press release, except for any historical data, are forward-looking statements. Forward-looking statements involve risks and uncertainties that could cause actual results to differ materially from those in the forward-looking statements. These include, but are not limited to, economic conditions and trends in the semiconductor industry generally and the timing of the industry cycles specifically, currency fluctuations, corporate transactions, financing and liquidity matters, the success of restructurings, the timing of significant orders, market acceptance of new products, competitive factors, litigation involving intellectual property, shareholders or other issues, commercial and economic disruption due to natural disasters, terrorist activity, armed conflict or political instability, changes in import/export regulations, epidemics and other risks indicated in the Company's reports and financial statements. The Company assumes no obligation nor intends to update or revise any forward-looking statements to reflect future developments or circumstances.

CONTACT

Investor and Media contact:

Victor Bareño
T: +31 88 100 8500
E: investor.relations@asm.com

 

Attachment